TUGAS 2
TATA TULIS LAPORAN

  1. Bacalah dengan seksama skripsi ( Tugas Akhir ) yang anda teliti tentang penggunaan EYD nya. Buatlah perbaikan sedikitnya 10 hal yang anda temui.
  2. Buatlah 5 istilah di bidang teknik elektro yang berasal dari bahasa asing. Tak ada yang sama. Tata urutannya : istilah asing yang diserap/ diterjemahkan , istilah asingnya, kaidah yang digunakan , arti dan makna istilah secara teknik elektro.
Jawaban.
1.1    sistem pemantauan ketinggian air secara elektik yang dapat digunakan
        untuk memantau perubahan ketinggian air secara kontinyu
        perbaikan: berkelanjutan
1.2    Merancang perangkat lunak untuk mengolah hasil sensor guna mendapatkan
        nilai real ketinggian air.
        perbaikan: sebenarnya/tepat
1.3    Energi yang dipindahkan dari sumber bunyi ke suatu alat pendeteksi melalui
        suatu medium
        perbaikan: perantara
1.4    sensor ini memancarkan gelombang suara yang kemudian menangkap pantulannya kembali
        perbaikan:mendeteksi
1.5    Jenis objek yang dapat diindera diantaranya adalah: objek padat, cair, butiran maupun tekstil
        perbaikan:dideteksi
1.6    Sensor ini hanya memerlukan 1 pin I/O dari mikrokontroler untuk mengontrolnya.
        perbaikan:mengendalikanya.
1.7    Selang waktu antara pemicuan dan pantulan inilah yang menginformasikan jarak benda dari sensor                 tersebut
        perbaikan:pemicu
1.8    Mikrokontroler dapat diartikan sebagai sebuah pengendali yang berukuran mikro
        perbaikan:sangat kecil
1.9    banyak komponen yang terintegrasi di dalamnya
        perbaikan:tergabung
1.10    Namun mikrokontroler hanya dapat melaksanakan tugas-tugas yang lebih spesifik
        perbaikan:khusus




2.1    transduser ultrasonik :adalah alat untuk mengasilkan gelombang ultrasonic yang dimanfaatkan sebagai          sensor
2.2    assembly :adalah salah satu macam bahasa pemrograman
2.3    ping :adalah proses pengecekan apakah terkoneksi atau tidak
2.4    perangkat lunak: adalah sesuatu yang berupa program atau script
2.5    seven segment: adalah alat untuk menampilkan angka